summaryrefslogtreecommitdiffstats
path: root/Src/osmolib/src/target/firmware/lib/delay.c
diff options
context:
space:
mode:
Diffstat (limited to 'Src/osmolib/src/target/firmware/lib/delay.c')
-rw-r--r--Src/osmolib/src/target/firmware/lib/delay.c16
1 files changed, 16 insertions, 0 deletions
diff --git a/Src/osmolib/src/target/firmware/lib/delay.c b/Src/osmolib/src/target/firmware/lib/delay.c
new file mode 100644
index 0000000..443ca82
--- /dev/null
+++ b/Src/osmolib/src/target/firmware/lib/delay.c
@@ -0,0 +1,16 @@
+#include <delay.h>
+
+/* FIXME: We need properly calibrated delay loops at some point! */
+void delay_us(unsigned int us)
+{
+ volatile unsigned int i;
+
+ for (i= 0; i < us*4; i++) { i; }
+}
+
+void delay_ms(unsigned int ms)
+{
+ volatile unsigned int i;
+
+ for (i= 0; i < ms*1300; i++) { i; }
+}